CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - PN Acquisition

搜索资源列表

  1. buhuo

    2下载:
  2. 扩频通信中的捕获问题,用GOLD码进行扩频, PN码相位捕获,利用捕获的相位,接收机产生偏移的GOLD码,进行解扩和误码率计算-Spread Spectrum Communication capture issue, GOLD spread spectrum code, PN phase acquisition. The capture phase, the receiver have offset the GOLD code for despreading and bit error rate
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:2261
    • 提供者:mi_kenny
  1. PNcodeacquisition

    0下载:
  2. 基于matlab采用匹配滤波器实现的PN码捕获与跟踪,对学习PN码捕获的朋友很有帮助-Matlab using matched filter based on the PN code acquisition and tracking, PN code acquisition in learning helpful friend
  3. 所属分类:matlab

    • 发布日期:2017-05-10
    • 文件大小:2181183
    • 提供者:小黄
  1. kuoping

    0下载:
  2. 扩频通信中的捕获问题,用GOLD码进行扩频, PN码相位捕获,利用捕获的相位,接收机产生偏移的GOLD码,进行解扩和误码率计算-Capture the problem in spread spectrum communication, spread spectrum codes with GOLD, PN code phase acquisition, the use of capture phase, the receiver generates offset GOLD code, for d
  3. 所属分类:3G develop

    • 发布日期:2017-03-30
    • 文件大小:2030
    • 提供者:孙伟
  1. buhuo2

    1下载:
  2. cdma 码分多址系统的pn码同步获取程序,利用滑动相关峰值的最大值来获取同步-cdma CDMA system pn code synchronization acquisition procedures, the use of sliding correlation peak to obtain the maximum synchronization
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-23
    • 文件大小:2592
    • 提供者:mhx
  1. Simulation-and-FPGA-Implementation-of-DigitalDBPSK

    0下载:
  2. 文章介绍了系统的硬件电路原理与具体实现方法,其中主要包括载波恢 复电路,PN 码捕获电路和跟踪电路,并针对Xilinx 公司FPGA 的特点,对各电 路的实现进行优化设计,在不影响系统稳定性和精度的前提下,减少硬件资源 消耗,提高硬件利用率。设计利用Verilog 硬件描述语言完成,通过后仿真验证 电路正确性,并给出综合结果。-This paper introduces the system' s hardware circuit principle and the spe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1008048
    • 提供者:mayuan
  1. cdma

    0下载:
  2. Its the project report for CDMA PN code acquisition using Neural Networks.
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:46354
    • 提供者:shifka
  1. PN_code_capture_and_tracing

    0下载:
  2. 一个完整的pn码捕获与跟踪的VHDL源码,并行匹配滤波器捕获,锁相环跟踪.-A complete pn Code Acquisition and Tracking of the VHDL source code, parallel matched filter to capture, phase-locked loop tracking.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2099
    • 提供者:王永俊
  1. pn

    0下载:
  2. pn码串并结合快速捕获算法的改进与研究,详细介绍了串并结合捕获算法的优点-pn code acquisition algorithm for strings in combination with rapid improvement and research, detailing the string combined with the advantages of capturing algorithm
  3. 所属分类:Project Design

    • 发布日期:2016-11-16
    • 文件大小:3381177
    • 提供者:员丽琼
  1. chengxu

    0下载:
  2. 建立了多径直扩信号模型,实现多径环境下直扩信号PN码的捕获-The multipath DS-SS signal model is built, and achieve the PN code acquisition under multipath.
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:199636
    • 提供者:高永升
  1. AdifferentiallycoherentPNcodeacquisitionreceiverfo

    0下载:
  2. IEEE经典文章,CDMA PN序列差分捕获技术,文章内容详细,对学习和从事直接序列扩频同步捕获CDMA系统设计人员有很大帮助,推荐-New differentially coherent detectors for acquisition of direct sequence spread-spectrum signals are ntroduced. These detectors are alternatives to the noncoherent detectors that have
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:293918
    • 提供者:caiyh
  1. PN4

    0下载:
  2. 语言:VHDL 功能:该PN4序列的特点为将一个4位序列的前两位取异或,再让序列左移一位,用异或的结果作为序列的最后一位。序列周期是15,即15位伪随机序列。其中包括序列的产生模块和检测模块。对于误码检测,首先捕获相位。然后,规定测试的码的总个数,统计这些码中有多少个不能满足PN序列特点的,用计数器统计个数。如果发现误码过多,可能是相位失调,重新捕获相位,再进行误码检测。 仿真工具:modelsim 综合工具:quartus -Language: VHDL function:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4429
    • 提供者:huangjiaju
  1. Performance_Analysis_of_PN_Code_Acquisition

    0下载:
  2. 文章中主要阐述了PN码的捕获,并对以前的各种捕获方法进行了改进。得出了基于FFT的快速捕获算法!-Abstract-A FFT-based parallel acquisition algorithm for Direct Sequence/Spread Spectrum radio navigation system is studied to solve long PN code acquisition using serial method. Frequency domain
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:553918
    • 提供者:严鹏涛
  1. CFAR-based-PN-code-parallel-acquisition-criteria-s

    0下载:
  2. 基于恒虚警准则的伪码并行捕获门限设置CFAR-based PN code parallel acquisition criteria set threshold-CFAR-based PN code parallel acquisition criteria set threshold
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-24
    • 文件大小:69469
    • 提供者:kamang123
  1. GPS--FFT

    0下载:
  2. 在分析基于FFT 算法的GPS 伪码的快速捕获新方法的基础上, 通过传统的时域二维串行码捕获方 法和基于FFT 算法的GPS 伪码的捕获新方法所需要的运算次数的比较, 在理论上论证了新方法伪码捕获速 度得到提高的正确性和可行性。采用System View 软件仿真实验验证了基于FFT 算法的GPS 伪码的快速 捕获新方法理论的正确性, 得出新码捕获方法较传统的码捕获方法得到捕获速度提高的结论, 为GPS 接收机适应高动态环境做了理论探讨。-FFT algorithm in the a
  3. 所属分类:GPS develop

    • 发布日期:2017-03-31
    • 文件大小:226752
    • 提供者:zhouyang
  1. DS-CDMA

    0下载:
  2. SERIAL ACQUISITION OF PSEUDO NOISE PN
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:1095
    • 提供者:omar
  1. gold1023

    1下载:
  2. 此类代码产生1023位的gold码,gold码由m序列优选对-PN code acquisition and synchronization matlab
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:847
    • 提供者:
  1. PN-code-synchronization-simulation

    1下载:
  2. 直接序列扩频伪码跟踪simulink仿真程序,仿真PN码捕获,跟踪过程完整过程。-Direct sequence spread spectrum code tracking simulink simulation program, emulation PN code acquisition process complete process of tracking.
  3. 所属分类:source in ebook

    • 发布日期:2017-05-11
    • 文件大小:2182200
    • 提供者:黄小一
  1. eetop.cn_acquisition-coding

    0下载:
  2. GPS基带信号处理中 PN码捕获的MATLAB仿真程序。-GPS baseband signal processing PN code acquisition MATLAB simulation program.
  3. 所属分类:Other systems

    • 发布日期:2017-04-30
    • 文件大小:9590
    • 提供者:刘桂林
搜珍网 www.dssz.com